Industry News

ASML Launches High NA Lithography for 2nm Chip Fabrication in 2024

Views : 19
Update time : 2023-12-26 09:12:10
        After the gradual mass production of 3-nanometer chips, 2-nanometer chips have become the point of contention for the next generation of advanced chip processes. The sole supplier of this chip process equipment, ASML, has also been pushed to the forefront. Recently, there is news that ASML will launch chip manufacturing equipment for the 2nm process node in the next few months, increasing the numerical aperture (NA) optical performance from 0.33 to 0.55.
        Because the wavelength of extreme ultraviolet light used in EUV lithography systems (13.5nm) is significantly lower than that of DUV immersion lithography systems (193nm), the multi-patterned DUV step can be replaced with a single-exposure EUV step, which can help chipmakers continue to advance to more advanced processes at 7nm and below while further improving efficiency and reducing exposure costs.
        Currently, EUV lithography can support chipmakers to advance chip processes to about 3nm, but to continue to advance to 2nm or even smaller sizes, higher numerical aperture (NA) High-NA lithography is required.
        Compared to the current 0.33 numerical aperture EUV lithography, High-NA EUV lithography raises the numerical aperture to 0.55, which allows for a further increase in resolution (according to Rayleigh's formula, the higher the NA, the higher the resolution), from 13nm resolution in 0.33 NA EUV to as low as 8nm in 0.55 NA EUV (with multiple exposures supporting the fabrication of chips at 2nm and below). below process chips through multiple exposures).
        It is reported that the model of the lithography machine for 2nm chips is High-NA production EUV lithography EXE:5200, which will use a different lens system with a larger NA. An ASML spokesperson has revealed that the EXE:5200 is ASML's next-generation High NA system with higher lithography resolution, which can shrink chips by 1.7 parts per million while increasing density to 2.9 times.
        Currently, there are only two EUV lithography machines listed on ASML's official website - the NXE:3600D and NXE:3400C, both equipped with 0.33 NA reflective projection optics and a 13.5nm EUV light source for 3/5nm and 5/7nm chip manufacturing respectively.
        Previously it was reported in the media that ASML's first 0.55 NA EUV lithography machine is scheduled for mass production after 2025, with the first one to be delivered to Intel. And recent news that ASML in 2024 planning capacity of only 10 units, and Intel has booked six of them, but ASML plans to increase this equipment capacity to 20 units per year in the next few years.
        In addition to Intel, TSMC, Samsung, SK Hynix and other head foundries are actively snapping up ASML's new generation of high numerical aperture High-NA EUV lithography.
        Intel has previously indicated that it will be the first to obtain the industry's first High-NA EUV lithography. At the same time, Intel also revealed that the company has completed the development of Intel 18A (1.8nm) and Intel 20A (2nm) manufacturing process. Among them, Intel 20A is scheduled to be put into operation in the first half of 2024, and the well-progressed Intel 18A manufacturing technology will also be advanced to the second half of 2024 to enter high-volume manufacturing (HVM). Some of the above chip processes may utilize High-NA EUV lithography.
        In order to obtain a new generation of EUV lithography, Samsung Electronics has been operating since early, its Chairman Lee Jae-yong in 2022, "front", and signed an agreement with ASML, the introduction of EUV lithography equipment and high numerical aperture (NA) EUV lithography equipment will be produced. Recently, South Korean President Yoon Seok-yul made a special visit to ASML to promote Samsung Electronics and ASML jointly invested 1 trillion won to build a research center in South Korea, and at the same time, will use the next generation of extreme ultraviolet (EUV) lithography research ultra-fine semiconductor manufacturing process.
        Currently, Samsung Electronics has been working to secure the procurement of more EUV lithography equipment, with the goal of entering the second generation of the 3nm generation in the first half of 2024, the 2nm process in 2025, and the 1.4nm process in 2027.
        And TSMC also said early on that it will obtain ASML's new generation of High-NA EUV lithography in 2024, while it will realize mass production of 2nm chips in 2025.
        It is reported that the price of ASML's latest High-NA EUV lithography equipment will be between 300 million and 350 million euros, while the current hot EUV lithography unit price of 150 million to 200 million dollars.

 
Related News
Read More >>
How many chips does a car need? How many chips does a car need?
Sep .19.2024
Automotive chips can be divided into four types according to their functions: control (MCU and AI chips), power, sensors, and others (such as memory). The market is monopolized by international giants. The automotive chips people often talk about refer to
Position and Function of Main Automotive Sensors Position and Function of Main Automotive Sensors
Sep .18.2024
The function of the air flow sensor is to convert the amount of air inhaled into the engine into an electrical signal and provide it to the electronic control unit (ECU). It is the main basis for determining the basic fuel injection volume. Vane type: The
Chip: The increasingly intelligent electronic brain Chip: The increasingly intelligent electronic brain
Sep .14.2024
In this era of rapid technological development, we often marvel at how mobile phones can run various application software smoothly, how online classes can be free of lag and achieve zero latency, and how the functions of electronic devices are becoming mo
LDA100 Optocoupler: Outstanding Performance, Wide Applications LDA100 Optocoupler: Outstanding Performance, Wide Applications
Sep .13.2024
In terms of characteristics, LDA100 is outstanding. It offers AC and DC input versions for optional selection, enabling it to work stably in different power supply environments. The small 6-pin DIP package not only saves space but also facilitates install